The traditional purpose of synthesis aims at timing closure, but in modern design flows, tools often require multiple iterative adjustments to achieve optimal results due to the complexity of designs with multimillions of placeable objects. Logic synthesis, the process of generating optimized logic-level representations from high-level descriptions, plays a crucial role in high-performance microprocessors and microcontrollers design methodologies, especially with the rapid advances in integrated circuit technology and increasing design complexity, with a focus on achieving timing convergence.
This paper discusses the challenges and improvements (methodologies) associated with synthesis and placement in the context of modern chip design. The experimental results presented in this paper suggest an approach that creates an efficient design flow, eliminating placement and synthesis iterations, leading to timing improvements.
This paper discusses the different approaches that have been used to achieve an operating frequency of 1.2Ghz with minimal power & area for a CPU Sub-system. Logical Synthesis has been carried out using Synopsys Design Compiler (DC) while the PnR implementation tool is Cadence Innovus. Using different strategies and automations, the complete synthesis to placement cycle has been reduced leading to a more robust flow for best PPA. This approach includes Register cloning, Data path optimization, Pipelining and Placement aware synthesis approach.
Introduction
This paper addresses the challenges in logical and physical synthesis for microcontroller and microprocessor design, particularly as design complexity grows to tens of millions of placeable objects. Physical synthesis is a runtime-intensive, iterative process where achieving timing closure while optimizing power, performance, and area (PPA) is critical. Strategies to improve efficiency and quality of results include integrated logic/physical synthesis, early estimation of physical effects, and pessimistic timing approaches to account for wire delays.
Key synthesis strategies discussed include:
Path Groups: Targeted critical path analysis for timing closure and incremental optimization.
Smart-gen Options: Optimizations for datapath elements to reduce logic depth and improve frequency.
Ungrouping: Breaking hierarchical groups to apply precise optimizations on selected portions.
Vt Flavors: Using LVT, SVT, and HVT cells to balance performance, power, and area.
Register Optimization and Cloning: Adjusting registers and adding pipeline stages to enhance timing and overall PPA.
Critical Path Synthesis: Isolating poor-performing paths for refinement via physical synthesis.
Experimental results showed that applying these strategies progressively improved timing performance, power efficiency, and leakage recovery, while maintaining manageable runtime for complex ARM Cortex CPU designs on TSMC 12FFC process.
Conclusion
The strategies and approach discussed in this paper aim to minimize the number of iterations, resulting in significant timing improvements. The paper highlights the extensive utilization of different logical and physical synthesis techniques, emphasizing the significance of integrating synthesis within the design process. The methodologies presented are recognized as a crucial factor in achieving optimized results for high-performance microprocessors and microcontroller designs. The recognition of this need suggests an acknowledgment of evolving challenges in microcontroller design and the importance of proactive strategies to address issues related to physical design considerations.
References
[1] C. J. Alpert et al., \"Techniques for Fast Physical Synthesis,\" in Proceedings of the IEEE, vol. 95, no. 3, pp. 573-599, March 2007, doi: 10.1109/JPROC.2006.890096.
[2] V. Filaretov, K. Gorshkov and A. Mikheenko, \"A circuit synthesis technique based on network determinant expansion,\" 2012 International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Seville, Spain, 2012, pp. 293-296, doi: 10.1109/SMACD.2012.6339397.
[3] L. Trevillyan, D. Kung, R. Puri, L. N. Reddy and M. A. Kazda, \"An integrated environment for technology closure of deep-submicron IC designs,\" in IEEE Design & Test of Computers, vol. 21, no. 1, pp. 14-22, Jan.-Feb. 2004, doi: 10.1109/MDT.2004.1261846.
[4] B. Halpin, R. Chen and N. Sehgal, \"Timing driven placement using physical net constraints,\" Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), Las Vegas, NV, USA, 2001, pp. 780-783, doi: 10.1145/378239.379065.
[5] N. Farahini, A. Hemani, H. Sohofi and S. Li, \"Physical design aware system level synthesis of hardware,\" 2015 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), Samos, Greece, 2015, pp. 141-148, doi: 10.1109/SAMOS.2015.7363669.
[6] Yiu-Hing Chan, P. Kudva, L. Lacey, G. Northrop and T. Rosser, \"Physical synthesis methodology for high performance microprocessors,\" Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451), Anaheim, CA, USA, 2003, pp. 696-701, doi: 10.1109/DAC.2003.1219108.
[7] M. Anwar, S. Saha, M. M. Ziegler and L. Reddy, \"Early Scenario Pruning for Efficient Design Space Exploration in Physical Synthesis,\" 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID), Kolkata, India, 2016, pp. 116-121, doi: 10.1109/VLSID.2016.94.
[8] S. Maestre, A. Gumera, J. Hora and M. J. de Guzman, \"Improving Digital Design PPA (Performance, Power, Area) using iSpatial Physical Restructuring,\" 2022 37th International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC), Phuket, Thailand, 2022, pp. 647-651, doi: 10.1109/ITC-CSCC55581.2022.9895022.
[9] S. H. Loh, I. M. Tan and J. J. Sim, \"VLSI Design Course with Commercial EDA Tools to Meet Industry Demand – From Logic Synthesis to Physical Design,\" 2021 11th IEEE International Conference on Control System, Computing and Engineering (ICCSCE), Penang, Malaysia, 2021, pp. 55-60, doi: 10.1109/ICCSCE52189.2021.9530746.